Citation

BibTex format

@inproceedings{Antoniadis:2021:10.1109/mwscas47672.2021.9531908,
author = {Antoniadis, DD and Feng, P and Mifsud, A and Constandinou, TG},
doi = {10.1109/mwscas47672.2021.9531908},
pages = {97--100},
publisher = {IEEE},
title = {Open-source memory compiler for automatic RRAM generation and verification},
url = {http://dx.doi.org/10.1109/mwscas47672.2021.9531908},
year = {2021}
}

RIS format (EndNote, RefMan)

TY  - CPAPER
AB - The lack of open-source memory compilers in academia typically causes significant delays in research and design implementations. This paper presents an open-source memory compiler that is directly integrated within the Cadence Virtuoso environment using physical verification tools provided by Mentor Graphics (Calibre). It facilitates the entire memory generation process from netlist generation to layout implementation, and physical implementation verification. To the best of our knowledge, this is the first open-source memory compiler that has been developed specifically to automate Resistive Random Access Memory (RRAM) generation. RRAM holds the promise of achieving high speed, high density and non-volatility. A novel RRAM architecture, additionally is proposed, and a number of generated RRAM arrays are evaluated to identify their worst case control line parasitics and worst case settling time across the memristors of their cells. The total capacitance of lines SEL, N and P is 5.83 fF/cell, 3.31 fF/cell and 2.48 fF/cell respectively, while the total calculated resistance for SEL is 1.28 Ω/cell and 0.14 Ω/cell for both N and P lines.
AU - Antoniadis,DD
AU - Feng,P
AU - Mifsud,A
AU - Constandinou,TG
DO - 10.1109/mwscas47672.2021.9531908
EP - 100
PB - IEEE
PY - 2021///
SP - 97
TI - Open-source memory compiler for automatic RRAM generation and verification
UR - http://dx.doi.org/10.1109/mwscas47672.2021.9531908
UR - https://ieeexplore.ieee.org/document/9531908
UR - http://hdl.handle.net/10044/1/92484
ER -